Encounter DFT Architect

brand:Cadence
Description:Minimizes test development and production costs. Delivers a flexible compression solution plus an in
Packaging:
Packaging:
Lead-free status/ROHS: Yes
Category:Logic Design
Seller:科通芯城自营


Part of the Encounter Test family, Encounter DFT Architect is the industry’s first full-chip, synthesis-based, power-aware test architecture development product with top-down, bottom-up hierarchical design support. It is a key component of a true global synthesis environment where logic and design-for-test (DFT) constructs are compiled in a single pass for concurrent optimization of timing, area, wiring congestion, and power. This single environment—with advanced rule checking, structure verification, coverage optimization, and analysis—ensures the highest quality automated test pattern generation (ATPG) -ready netlist with an advanced full-chip test infrastructure.



Industry-leading power management techniques and testing of low-power functional modes make the combination of Encounter DFT Architect and Encounter True-Time ATPG the most robust, power-aware ATPG technology on the market. Integration with Encounter RTL Compiler global synthesis and the Common Power Format (CPF) allows users to create, insert, hierarchically connect, and verify test structures according to user specification. This unified methodology for “design-with-test” maximizes ease of use and accelerates the development of a higher-quality test infrastructure at lower cost.

Test architectures supported include full and partial scan, scalable compression (XOR and MISR), MBIST, LBIST, on-product clock generation (OPCG), boundary scan (1149.1/6), I/O test, IEEE 1500 core wrapper, power-aware DFT, and power-aware ATPG.

Benefits
  • Performs concurrent logic and DFT synthesis across area, timing, wiring congestion, and power parameters
  • Boosts productivity from RTL to ATPG by moving test decisions, structure verification, and analysis to the front end
  • Accelerates development of a higher-quality IC test infrastructure for transition defect testing, including auto-generation and insertion of OPCG macros and ATPG protocol files
  • Performs automatic IC test infrastructure insertion and verifi¬cation from a single specification and environment
  • Supports hierarchical and flat design flows
  • Eliminates errors caused by manual stitching and integration
  • Power-aware DFT inserts specialized test control structures, and validates and tests all power modes
  • Power-aware ATPG with early power estimation capabilities identify power issues during test mode and eliminate-costly iterations
  • Performs physically-aware scan placement and ordering as well as test compression logic physical optimization
  • Test coverage optimization enables early testability analysis and test point insertion to improve test pattern volume and test coverage
  • Fully integrated MBIST solution optimizes memory test development time and reduces project costs
  • Fully integrated LBIST solution with low area overhead and efficiency to decide tradeoff between runtime and coverage
  • Flexible compression architectures (MISR, XOR, or hybrid) dramatically reduce manufacturing test cost, increase throughput, and optimize diagnostic flows
  • Advanced masking architectures ensure the highest compression while maintaining full scan coverage

文档(Document)

No. PDF Description
1 A New Methodology to Detect Small Delay Defects in 65nm Devices     A New Methodology to Detect Small Delay Defects in 65nm Devices
2 Achieving Lower Test Pattern Count Through Deterministic Test Point Insertion     Achieving Lower Test Pattern Count Through Deterministic Test Point Insertion
3 Cadence and NetEffect Success Story     Cadence and NetEffect Success Story
4 Cadence Encounter Digital IC Design Demo: Necessary and Absolute Signoff Analysis for 65/45nm Design     Cadence Encounter Digital IC Design Demo: Necessary and Absolute Signoff Analysis for 65/45nm Design
5 Cadence Low-Power Solution Demo     Cadence Low-Power Solution Demo
6 Encounter Test DFT Compression Flow in Encounter RTL Compiler     Encounter Test DFT Compression Flow in Encounter RTL Compiler
7 Practical Guide to Low-Power Design - User Experience with CPF     Practical Guide to Low-Power Design - User Experience with CPF
8 Using Conformal Equivalence Checker (Custom) and Encounter Test to Generate Structurally Accurate Te     Using Conformal Equivalence Checker (Custom) and Encounter Test to Generate Structurally Accurate Test Views for DFT

深圳市科通技术股份有限公司    consumer hotline:(+86)755-26018083   mail:cs@comtech.cn

© Copyright 2018 www.comtech.cn | 粤ICP备19161615号 |